EPICS Controls Argonne National Laboratory

Experimental Physics and
Industrial Control System

1994  1995  1996  1997  1998  1999  2000  2001  2002  2003  2004  2005  2006  2007  2008  2009  2010  <20112012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024  Index 1994  1995  1996  1997  1998  1999  2000  2001  2002  2003  2004  2005  2006  2007  2008  2009  2010  <20112012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
<== Date ==> <== Thread ==>

Subject: Re: Sequencer news: latest snapshot
From: "J. Lewis Muir" <[email protected]>
To: Benjamin Franksen <[email protected]>
Cc: [email protected]
Date: Fri, 24 Jun 2011 16:43:33 -0500
On 6/24/11 4:05 PM, Benjamin Franksen wrote:
> This seems to be the only test that failed.
> 
> The tests in this group check that the (SNL+C) compilers reject certain
> constructs; I have made these tests more strict by counting how often the word
> 'error' appears in the output. Depending on the C compiler this number varies,
> so I have to adapt the range of permissible occurrences for every new compiler
> tested. Could you remove the comment marker from line 43 of
> test/compiler/test.plt, repeat 'make runtests' inside test/compiler, and send
> me the output?

Hi, Ben.

The output is attached.

In case it's helpful, my GCC version is 4.2.1.

(On a side note, my system also has an LLVM compiler toolchain
which Apple seems to be behind.  Maybe at some point the default
compiler on Mac OS X for the EPICS build system will become
this, in which case perhaps the "error" word counting will need
to change again.)

Thanks,

Lewis
$ make -s runtests
test.t .. # sncExOpt_DuplOpt result=0, response=
test.t .. 1/15 # tooLong result=512, response=../tooLong.st:15:2: error: #error variable 'long l' cannot be assigned to a PV (on the chosen target system) because Channel Access does not support integral types longer than 4 bytes. You can use 'int' instead, or the fixed size type 'int32_t'.
# ../tooLong.st:18:2: error: #error variable 'unsigned long ul' cannot be assigned to a PV (on the chosen target system) because Channel Access does not support integral types longer than 4 bytes. You can use 'unsigned int' instead, or the fixed size type 'uint32_t'.
# make[3]: *** [tooLong.o] Error 1
# make[2]: *** [all] Error 2

#   Failed test at test.t line 47.
# sncExOpt_UnrecOpt result=0, response=../sncExOpt_UnrecOpt.st:8: warning: unknown state option 'c'
test.t .. 3/15 # syncq_no_size result=0, response=:6: warning: leaving out the queue size is deprecated, queue size defaults to 100 elements
test.t .. 4/15 # efArray result=512, response=../simple.st:3: error: cannot declare array of event flags
# make[3]: *** [efArray.c] Error 1
# make[2]: *** [all] Error 2
# efGlobal result=512, response=../efGlobal.st:4: error: event flags can only be declared at the top-level
# ../efGlobal.st:6: error: event flags can only be declared at the top-level
# ../efGlobal.st:8: error: event flags can only be declared at the top-level
# make[3]: *** [efGlobal.c] Error 1
# make[2]: *** [all] Error 2
# efPointer result=512, response=../simple.st:3: error: cannot declare pointer to event flag
# make[3]: *** [efPointer.c] Error 1
# make[2]: *** [all] Error 2
test.t .. 7/15 # foreignGlobal result=512, response=../foreignGlobal.st:4: error: foreign variables can only be declared at the top-level
# ../foreignGlobal.st:6: error: foreign variables can only be declared at the top-level
# ../foreignGlobal.st:8: error: foreign variables can only be declared at the top-level
# make[3]: *** [foreignGlobal.c] Error 1
# make[2]: *** [all] Error 2
# misplacedExit result=512, response=../misplacedExit.st:9: syntax error near token 'when'
# ../misplacedExit.st:11: syntax error near token '}'
# parser giving up
# make[3]: *** [misplacedExit.c] Error 1
# make[2]: *** [all] Error 2
test.t .. 9/15 # pvNotAssigned result=512, response=../pvNotAssigned.st:6: error: parameter 1 to 'pvGet' was not assigned to a pv
# ../pvNotAssigned.st:7: error: parameter 1 to 'pvPut' was not assigned to a pv
# ../pvNotAssigned.st:8: error: parameter 1 to 'pvAssign' was not assigned to a pv
# ../pvNotAssigned.st:9: error: parameter 1 to 'pvMonitor' was not assigned to a pv
# ../pvNotAssigned.st:14: error: parameter 1 to 'pvAssign' was not assigned to a pv
# ../pvNotAssigned.st:15: error: parameter 1 to 'pvMonitor' was not assigned to a pv
# ../pvNotAssigned.st:16: error: parameter 1 to 'pvGet' was not assigned to a pv
# ../pvNotAssigned.st:17: error: parameter 1 to 'pvPut' was not assigned to a pv
# ../pvNotAssigned.st:26: error: parameter 1 to 'pvAssign' was not assigned to a pv
# ../pvNotAssigned.st:27: error: parameter 1 to 'pvMonitor' was not assigned to a pv
# ../pvNotAssigned.st:28: error: parameter 1 to 'pvGet' was not assigned to a pv
# ../pvNotAssigned.st:29: error: parameter 1 to 'pvPut' was not assigned to a pv
# ../pvNotAssigned.st:20: error: parameter 1 to 'pvAssign' was not assigned to a pv
# ../pvNotAssigned.st:21: error: parameter 1 to 'pvMonitor' was not assigned to a pv
# ../pvNotAssigned.st:22: error: parameter 1 to 'pvGet' was not assigned to a pv
# ../pvNotAssigned.st:23: error: parameter 1 to 'pvPut' was not assigned to a pv
# ../pvNotAssigned.st:34: error: parameter 1 to 'pvAssign' was not assigned to a pv
# ../pvNotAssigned.st:35: error: parameter 1 to 'pvMonitor' was not assigned to a pv
# ../pvNotAssigned.st:36: error: parameter 1 to 'pvGet' was not assigned to a pv
# ../pvNotAssigned.st:37: error: parameter 1 to 'pvPut' was not assigned to a pv
# make[3]: *** [pvNotAssigned.c] Error 1
# make[2]: *** [all] Error 2
# syncq_not_assigned result=512, response=:4: error: variable 'x' not monitored
# make[3]: *** [syncq_not_assigned.c] Error 1
# make[2]: *** [all] Error 2
test.t .. 11/15 # syncq_not_monitored result=512, response=:5: error: variable 'x' not monitored
# make[3]: *** [syncq_not_monitored.c] Error 1
# make[2]: *** [all] Error 2
# syncq_size_out_of_range result=512, response=:6: error: queue size '0' out of range
# make[3]: *** [syncq_size_out_of_range.c] Error 1
# make[2]: *** [all] Error 2
# varinit result=512, response=../varinit.st:4: error: initializer element is not constant
# ../varinit.st:8: error: initializer element is not constant
# ../varinit.st:8: error: (near initialization for â??UserVar_test.yâ??)
# ../varinit.st:9: error: initializer element is not constant
# ../varinit.st:9: error: (near initialization for â??UserVar_test.yyâ??)
# ../varinit.st:13: error: initializer element is not constant
# ../varinit.st:13: error: (near initialization for â??UserVar_test.UserVar_test.zâ??)
# ../varinit.st:15: error: initializer element is not constant
# ../varinit.st:15: error: (near initialization for â??UserVar_test.UserVar_test.zzâ??)
# make[3]: *** [varinit.o] Error 1
# make[2]: *** [all] Error 2
test.t .. 14/15 # varinitOptr result=512, response=../varinitOptr.st: In function â??G_prog_initâ??:
# ../varinitOptr.st:6: error: initializer element is not constant
# ../varinitOptr.st:6: error: (near initialization for â??pVarInit.xxâ??)
# ../varinitOptr.st:10: error: initializer element is not constant
# ../varinitOptr.st:10: error: (near initialization for â??pVarInit.UserVar_test.yâ??)
# ../varinitOptr.st:11: error: initializer element is not constant
# ../varinitOptr.st:11: error: (near initialization for â??pVarInit.UserVar_test.yyâ??)
# ../varinitOptr.st:15: error: initializer element is not constant
# ../varinitOptr.st:15: error: (near initialization for â??pVarInit.UserVar_test.UserVar_test.zâ??)
# ../varinitOptr.st:17: error: initializer element is not constant
# ../varinitOptr.st:17: error: (near initialization for â??pVarInit.UserVar_test.UserVar_test.zzâ??)
# make[3]: *** [varinitOptr.o] Error 1
# make[2]: *** [all] Error 2
# Looks like you failed 1 test of 15.
test.t .. Dubious, test returned 1 (wstat 256, 0x100)
Failed 1/15 subtests 

Test Summary Report
-------------------
test.t (Wstat: 256 Tests: 15 Failed: 1)
  Failed test:  2
  Non-zero exit status: 1
Files=1, Tests=15,  7 wallclock secs ( 0.02 usr  0.01 sys +  5.05 cusr  1.33 csys =  6.41 CPU)
Result: FAIL
Failed 1/1 test programs. 1/15 subtests failed.
$ 

Replies:
Re: Sequencer news: latest snapshot Benjamin Franksen
References:
Sequencer news: latest snapshot Benjamin Franksen
Re: Sequencer news: latest snapshot Benjamin Franksen
Re: Sequencer news: latest snapshot J. Lewis Muir
Re: Sequencer news: latest snapshot Benjamin Franksen

Navigate by Date:
Prev: Re: Sequencer news: latest snapshot Benjamin Franksen
Next: Re: Sequencer news: latest snapshot Tim Mooney
Index: 1994  1995  1996  1997  1998  1999  2000  2001  2002  2003  2004  2005  2006  2007  2008  2009  2010  <20112012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
Navigate by Thread:
Prev: Re: Sequencer news: latest snapshot Benjamin Franksen
Next: Re: Sequencer news: latest snapshot Benjamin Franksen
Index: 1994  1995  1996  1997  1998  1999  2000  2001  2002  2003  2004  2005  2006  2007  2008  2009  2010  <20112012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
ANJ, 18 Nov 2013 Valid HTML 4.01! · Home · News · About · Base · Modules · Extensions · Distributions · Download ·
· Search · EPICS V4 · IRMIS · Talk · Bugs · Documents · Links · Licensing ·