EPICS Controls Argonne National Laboratory

Experimental Physics and
Industrial Control System

1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024  Index 1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
<== Date ==> <== Thread ==>

Subject: RE: EPICS iocLog file size limitation
From: Kevin Tsubota <[email protected]>
To: [email protected]
Date: Thu, 21 Mar 2002 08:38:16 -1000
Thanks to all who replied!
The changes are in, only time will tell now.

BTW, I found the command:  epicsPrtEnvParams
which lists all the environment variable settings for the IOC.

Thanks again!
Kevin 

> -----Original Message-----
> From: Brian McAllister [mailto:[email protected]]
> Sent: Thursday, March 21, 2002 6:27 AM
> To: Kevin Tsubota
> Cc: [email protected]
> Subject: Re: EPICS iocLog file size limitation 
> 
> 
> 
> >>> On 3/20/2002 at 18:07:11 -1000, Kevin Tsubota wrote:
> 
>   > We just recently upgraded to our host to Solaris 8 and 
> noticed that our
>   > EPICS iocLog files are limited to 1MB with the data being 
> over written
>   > from the top.  We have a number of other files that are 
> greater than
>   > 1MB being written by non-EPICS systems in the same 
> directory.  Prior to
>   > this we were running SunOS 4.1.4 hosts and have iocLogs > 1MB.
> 
> The limit is in iocLogServer, and is controlled by the 
> environment variable
> EPICS_IOC_LOG_FILE_LIMIT, which normally defaults to 1MB.  
> You can either
> change the default (CONFIG_SITE_ENV in $EPICS_BASE/config is the right
> place for that) or you can set it in the environment before 
> you start the
> logServer.
> 
> ----
> Brian McAllister                    Controls Programmer/Beam Physicist
> [email protected]                        MIT-Bates Linear Accelerator
> 

Navigate by Date:
Prev: Updating R3.13.5 to R3.13.6 Ralph . Lange
Next: Re: EPICS iocLog file size limitation Jim Thomas
Index: 1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
Navigate by Thread:
Prev: Re: EPICS iocLog file size limitation Steve Lewis
Next: Updating R3.13.5 to R3.13.6 Ralph . Lange
Index: 1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
ANJ, 10 Aug 2010 Valid HTML 4.01! · Home · News · About · Base · Modules · Extensions · Distributions · Download ·
· Search · EPICS V4 · IRMIS · Talk · Bugs · Documents · Links · Licensing ·